Journal Paper

[1] S. Watanabe, A.B.M.H. Rashid and T. Kikkawa, “Effect of High Resistivity Si substrate on Antenna Transmission Gain for On-Chip Wireless Interconnects, Japanese Journal of Applied Physics Vol. 43, No. 4B, 2004, pp.2297-2301.

[2] A.B.M.H. Rashid, S. Watanabe and T. Kikkawa, “Characteristics of Si Integrated Antenna for Inter-Chip Wireless Interconnection,” Japanese Journal of Applied Physics Vol. 43, No. 4B, 2004, pp.2283-22287.

[3] S. Kuroki, S. Sakamoto and T. Kikkawa, “A novel Photoresistive Porous Low-k Interlayer Dielectric Film,” Japanese Journal of Applied Physics Vol. 43, No. 4B, 2004, pp.1820-1824.

[4] Hidenori Miyoshi, Hisanori Matsuo, Yoshiaki Oku, Hirofumi Tanaka, Kazuhiro Yamada, Noboru Mikami, Syozo Takada, Nobuhiro Hata and Takamaro Kikkawa, “Theoretical Analysis of Elastic Modulus and Dielectric Constant for Low-k Two-Dimensional Periodic Porous Silica Films”, Japanese Journal of Applied Physics Vol. 43, No. 2, 2004, pp.498-503

[5] Kazuyoshi Uera, Jun Kawahara, Hidenori Miyoshi, Nobuhiro Hata and Takamaro Kikkawa, “Molecular Orbital Calculation of the Elastic Modulus and the Dielectric Constant for Ultra Low-k Organic Polymers,” Japanese Journal of Applied Physics Vol. 43, No. 2, 2004, pp.504-507

[6] Xia Xiao, Nobuhiro Hata, Kazuhiro Yamada and Takamaro Kikkawa, “Mechanical Property Determination of Thin Porous Low-k Films by Twin-Transducer Laser Generated Surface Acoustic Waves,” Japanese Journal of Applied Physics Vol. 43, No. 2, 2004, pp.508-513

[7] Nobuhiro Hata, Chie Negoro, Kazuhiro Yamada and Takamaro Kikkawa, “Control of Pore Structures in Periodic Porous Silica Low-k Films,”  Japanese Journal of Applied Physics Vol. 43, No. 4A, 2004, pp.1323-1326

[8] Chie Negoro, Nobuhiro Hata, Kazuhiro Yamada and Takamaro Kikkawa, “Nondestructive Characterization of a Series of Periodic Porous Silica Films by in situ Spectroscopic Ellipsometry in a Vapor Cell,” Japanese Journal of Applied Physics Vol. 43, No. 4A, 2004, pp.1327-1329

[9] N. Mikami, N. Hata, T. Kikkawa and H. Machida, ”Robust self-assembled monolayer as diffusion barrier for copper metallization”, Appl. Phys. Lett. Vol.83, No.25, Dec. 2003, PP.5181-5183.

[10] Xia Xiao, N. Hata, K. Yamada, T. Kikkawa, “Mechanical properties of periodic porous silica low-k films determioned by the twin transducer surface acoustic wave technique”, Review of Scientific Instruments, vol. 74, No. 10,Oct. 2003, pp.4539-4541.

[11] A.B.M. H. Rashid, S. Watanabe and T. Kikkawa, "Characteristics of Integrated Antenna on Si for On-Chip Wireless Interconnect", Japanese Journal of Applied Physics, Vol. 42, No. 4B, April 2003, pp. 2204-2209.

[12] K. Yamada, Y. Oku, N. Hata, S. Takada and T. Kikkawa, "Effects of surfactants on the properties of ordered periodic porous silica films," Japanese Journal of Applied Phys, Vol.42, No. 4B, April 2003, pp.1840-1842.

[13] S. Kuroki, T. Kikkawa, H. Kochiya, and S. Shishiguchi, "Direct Patterning of Low-k Dielectric Films using X-Ray Lithography," Jpn. J. Appl. Phys. , vol.42, No.4B,  (2003) pp.1907-1910.

[14] Satoru Fujisawa, Takamaro Kikkawa and Tokushi Kizuka, “Direct Observation of Electromigration and Induced Stress in Cu Nanowire,” Jpn. J. Appl. Phys. Vol. 42 (2003) pp.L1433-L1435

[15] Quazi Deen Mohd Khosru, Shin Yokoyama, Anri Nakajima, Kentaro Shibahara, Takamaro Kikkawa, Hideo Sunami and Takenobu Yoshino, “Organic Contamination Dependence of Process-Induced Interface Trap Generation in Ultrathin Oxide Metal Oxide Semiconductor Transistors,” Jpn. J. Appl. Phys. Vol. 42 (2003) pp.L1429-L1432

[16] A.B.M. H. Rashid, S. Watanabe and T. Kikkawa, "High Transmission Gain Integrated Antenna  on Extremely High Resistivity Si for ULSI Wireless Interconnect", IEEE Electron Device Letters, Vol. 23, No.12, December 2002, pp.731-733.

[17] T. Kikkawa,  N. Fujiwara, H. Yamada, S. Miyazaki, M. Hirose and F. N.ishiyama, "Energy Band Structure of Ru/(Ba,Sr)TiO3 /Si Capacitor Deposited by Inductively-Coupled Plasma-Assisted Radio- Frequency-Magnetron Plasma Sputtering," Appl. Phys. Lett.  vol.81, no.15, (2002) pp.2821-2823.

[18] T. Kikkawa, T. Nagahara, and H. Matsuo, "Direct patterning of photosensitive low-dielectric-constant films using electron beam lithography", Appl. Phys. Lett. Vol. 78, No. 17 (2001) pp.2567-2569.

[19] S. Mukaigawa, T. Aoki, Y. Shimizu, and T. Kikkawa, "Measurement of Copper Drift in Methylsilsesquiazane-Methylsilsesquioxane Dielectric Films", Jpn. J. Appl. Phys. Part I, No.4B, Vol. 39 (2000) pp.2189-2193.

[20] 吉川公麿「多層配線技術とスケーリング」電子情報通信学会論文誌C vol.J83-C No.2 (電子情報通信学会、2000pp.105-117

[21] 吉川公麿「ULSIの微細化と多層配線技術への課題」応用物理第68巻第11号(応用物理学会、1999pp.1215-1225

[22]  K. Inoue, K. Mikagi, S. Chikaki, and T. Kikkawa, "A New Cobalt Salicide Technology for 0.15 um CMOS Devices",  IEEE Trans on Electron. Devices, vol.45, No.11, pp. 2312-2318, 1998.

[23]  K. Ueno, T. Kikkawa, and V. Donnely, "Cleaning of CHF3 Plasma-Etched SiO2/SiN/Cu Via Structures with Dilute Hydrofluoric Acid Solutions",  Journal of Electrochem. Soc. 144(7), pp. 2565-2572, 1997.

[24]  T. Taguwa, K. Urabe, Y. Yamada, T. Kikkawa and M. Sekine, "Low contact resistance metallization for gigabit scale DRAM using fully dry cleaning by Ar/H2 ECR plasma",  IEEE Trans. on Electron Devices ED-44, pp. 588-594, 1997.

[25] R.T.Tung, FK. Fujii, K. Kikuta, C.Chikaki, and T. Kikkawa, “Growth of TiSi2 from codeposited TiSix layers and interface layers”, Appl. Phys. Lett. 70 (18), 1997, pp.2386-2388.

[26]  K. Kikuta Y. Hayashi, T. Nakajima, K. Harashima and T. Kikkawa, "Al-Ge-Cu multilevel damascene process using low-temperature  reflow sputtering and chemical mechanical polishing", IEEE Trans. Electron Devices, vol.43, no.5, pp.739-745, 1996.

[27] K. Kikuta and T. Kikkawa, "Electromigration characteristics for Al-Ge-Cu", J. Electrochem. Soc. vol.143, no.3, pp.1088-1092, 1996.

[28]  K. Kikuta and T. Kikkawa, "Al-Ge reflow sputtering for submicron contact hole filling", J. Electrochem. Soc. vol.143, no.1, pp.228-232, 1996.

[29] Y. Teraoka,  H. Aoki,  E. Ikawa, T. Kikkawa, I. Nishiyama, "Observation of sidewall contamination in submicron holes by thermal desorption spectroscopy ", Journal of Vac. Sci. Technol. A, vol.13, no.6, pp.2197-2200, 1995.

[30] Y. Teraoka, I. Nishiyama, H. Aoki,  E. Ikawa, T. Kikkawa,  "Thermal desorption spectroscopic analysis for residual chlorine on Al-Si-Cu after ECR plasma etching ", Journal of Vac. Sci. Technol. A, vol.13, no.6, pp.2935-2938, 1995

[31] K. Ueno, T. Kikkawa, K. Tokashiki, "Reactive ion etching of silicon oxynitride formed by plasma-enhanced chemical vapor deposition", Journal of Vac. Sci. Technol. B, vol.13, no.4, pp.1447-1450, 1995.

[32]  伊藤信和、T. C. Huo、山田義明、菊田邦子、吉川公麿、「Alリフローによるコンタクト埋めこみ特性に与えるコンタクト形状およびリフロー下地膜の影響」電子情報通信学会論文誌C-II,VOL.J78-C-II, NO.5, pp.273-280, 1995.

[33]  関根誠、角原由美、吉川公麿,「コンタクト底部のSi表面状態によるコンタクト抵抗の劣化」電子情報通信学会論文誌C-II,VOL. J78-C-II, NO.5,  pp.244-250, 1995.

[34]  H. Aoki, Y. Teraoka, E. Ikawa, T. Kikkawa, I. Nishiyama, "Direct analysis of contamination in submicron contact holes by thermal desorption spectroscopy", Journal of Vac. Sci. Technol. A, vol.13, no.1, pp.42-46, 1995.

[35]  K. Inoue, K. Fujii, K. Mikagi and T. Kikkawa, "W/Ti self-aligned silicidation process for 0.25 um CMOS", NEC Journal of Research and Development, vol.36, No.1, pp. 114-121, 1995.

[36]  H. Watanabe, T. Tatsumi, T. Ikarashi, A. Sakai, N. Aoto and T. Kikkawa, "An advanced technique for fabrication hemispherical grained (HSG) silicon storage electrodes",  IEEE, Transaction on Electron Devices, vol. 42, No. 2, pp. 295-300, 1995.

[37]  M. Sekine, N. Ito, T. Shinmura, Y. Yamada, T. Kikkawa, Y. Murao and D.T.C. Huo, "Deep Subhalf-micron contact filling technology using control etching and collimated Ti sputtering techniques", J. Electrochemical Society , vol.142, no.2, pp. 664-668, 1995.

[38]  N. Kasai, M. Sakao, T. Ishijima, E. Ikawa, H. Watanabe, T. Takeshima, N. Tanabe, K. Terada, T. Kikkawa, "A capacitor over bit line stacked capacitor cell usign local interconnect layer for 64 MbDRAM", IEICE Trans. Electron. vol. E76-C, no.4, pp.548-555, 1993.

[39]  H. Aoki, T. Hashimoto, E. Ikawa, T. Kikkawa, K. Takeuchi, S. Yamamichi, T. Sakuma and Y. Miyasaka, "Study of submicron SrTiO3 patterning",  Japanese Journal of Applied Physics 32 (1B), pp. 376-379, 1993.

[40] T. Kikkawa, H. Kitajima, T. Sato and K. Shiotani, "Aluminum-arsenide precipitation in an arsenic-implanted aluminum thin film",  Applied Physics Letters, 63(11), pp. 1495-1497, 1993.

[41] T. Kikkawa and N. Endo, "The influence of hydrogen evolution from plasma-deposited silicon  nitride on underlying aluminum deformations"  Journal of Vacuum Science and Technology, B11(2), pp. 228-233, 1993.

[42]  T. Kikkawa and N. Endo, "Effect of ion implantation on aluminum void suppression",  Journal of Applied Physics, 73(5), pp. 2505-2509, 1993.

[43] T. Kikkawa, H. Aoki, E. Ikawa and J. M. Drynan, "A quarter-micron interconnection technology using TiN/Al-Si-Cu/TiN/Al-Si-Cu/TiN/Ti multilayer structure",  IEEE Transaction on Electron Devices, vol. ED-40(2), pp. 296-302, 1993.

[44] T. Kikkawa, K. Kikuta, K. Tsunenari, K. Ohto, H. Aoki, J. M. Drynan, N. Kasai and T. Kunio, "Quarter-micron interconnection technologies for 256-Mbit DRAMs", Japanese Journal of Applied Physics, 32(1), pp. 338-345, 1993.

[45]  H. Watanabe, N. Aoto, S. Adachi and T. Kikkawa, "Device application and structure observation for hemispherical-grained Si",  Journal of Applied Physics 71, pp. 3538-3543, 1992.

[46] T. Kikkawa and N. Endo, "The influence of underlying metals on the hydrogen evolution from plasma-deposited silicon nitride films", Journal of Applied Physics, 71(2), pp. 958-965, 1992.

[47]  H. Aoki, T. Hashimoto, E. Ikawa, T. Kikkawa, "Low temperature etching of 0.2 um Al patterns using SiO2 mask", Japanese Journal of Applied Physics, vol.31, no.12B, pp.4376-4380, 1992.

[48]  H. Aoki, E. Ikawa, T. Kikkawa, Y. Teraoka, I. Nishiyama, "Dependence of residual chlorine amount on Al grain size", Japanese Journal of Applied Physics, vol.31, no.6B, pp.2041-2044, 1992.

[49]  K. Tokashiki, E. IKawa, T. Hashimoto, T. Kikkawa, Y. Teraoka and I. Nishiyama, "Influence of halogen plasma atomosphere on SiO2 etching characteristics", Japanese Journal of Applied Physics, vol.30, no.11B, pp. 3174-3177, 1991.

[50]  J. M. Drynan and T. Kikkawa, "Amorphous-deposited polycrystalline silicon for contact hole plugs", Applied Physics Letters 58, pp. 610-612, 1991.

[51]  H. Aoki, E. Ikawa, T. Kikkawa, Y. Teraoka and I. Nishiyama, "After-corrosion suppression using low-temperature Al-Si-Cu Etching",  Japanese Journal of Applied Physics, vol.30, no.7, pp. 1567-1570, 1991.

[52]  N. Aoto, H. Watanabe, E. Ikawa and T. Kikkawa, "Initial stage of thermal-oxidation reactions on Cl-adsorbed Si surfaces",  Surface Science, 256, pp. 361-369, 1991.

[53]  N. Aoto, E. Ikawa, T. Kikkawa and Y. Kurogi, "Chlorine/silicon surface reaction under heating",  Surface Science, 250, pp. 235-242, 1991.

[54]  N. Aoto, E. Ikawa, T. Kikkawa and Y. Kurogi, "Influence of O2 and oxide on Cl/Si surface reactions",  Surface Science, 247, pp. 21-31, 1991.

[55] T. Kikkawa and N. Endo, "Thermal reaction of WSix thin films with underlying Al films", Journal of Applied Physics, 70(4), pp. 2370-2375, 1991.

[56]  K. Kikuta, T. Kikkawa, M. Kawanaka and J. Sone, "Properties of a poly-Si/GaAs layered structure on Si for Si heterojunction bipolar transistor",  Journal of Electronic Materials, vol.19, no.8, pp. 795-799, 1990.

[57] T. Kikkawa, H. Watanabe and T. Murata, "Hydrogen evolution in aluminum plasma deposited silicon nitride layered structures", Applied Physics Letters, 50(21), pp. 1527-1529, 1987.

[58]  M. Nakatsuka, K. Tanaka and T. Kikkawa, "Effects of thin conductive film mask on ion implantation",  Journal of the Electrochemical Society, vol.125, No.11, pp. 1830-1833, 1978.

Proceedings

[59] T. Kikkawa, A.B.M. H. Rashid, and S.Watanabe, “Effect of silicon substrate on the transmission characteristics of integrated antenna,” Proc. 2003 IEEE Topical Conference on Wireless Communication Technology , Honolulu,, Oct. 15-17, 2003S06P09.

[60] A.B.M. H. Rashid, S. Watanabe and T. Kikkawa, “Crosstalk Isolation of Monopole Integrated Antenna on Si for ULSI Wireless Interconnect”, Proceedings of 2003 IEEE International Interconnect Technology Conference, 2-4 June,( 2003, SanFrancisco, USA) pp.156-158.

[61] N. Hata, C. Negoro, S. Takada, X. Xiao, K. Yamada and T. Kikkawa “Integrated Characterization of Porous Low-k Films for Identifying Killer Pores and Micropores,”  Proceedings of 2003 IEEE International Interconnect Technology Conference, 2-4 June, (2003, SanFrancisco, USA) pp.51-53.

[62] Hidenori Miyoshi, Hisanori Matsuo, Yoshiaki Oku, Hirofumi Tanaka, Kazuhiro Yamada, Noboru Mikami, Syozo Takada, Nobuhiro Hata and Takamaro Kikkawa , “Theoretical analysis of ultra low-k porous films with periodic pore arrangement and high elastic modulus,” Proceedings of 2003 IEEE International Interconnect Technology Conference, 2-4 June, (2003, SanFrancisco, USA) pp.57-59.

[63]  N. Mikami, N .Hata, T. Yoshino, T,Kikkawa, and H. Machida, "A new self-assembled monolayer as a robust diffusion for Cu interconnect," Advanced Metallization Conference, pp. 68-69, 2003.

[64]  C. Negoro, N. Hata , K. Yamada, and T. Kikkawa, "Non-destructive characterization of a series of periodic porous silica films by in-situ spectroscopic ellipsometry in a vapor cell," Advanced Metallization Conference, pp. 92-93, 2003.

[65]  Y. Takenobu, N. Hata, and T. Kikkawa, “Evalution of Copper Ion Drift in Low-Dielectric Constant Interlayer Films by Transient Capacitance Spectroscopy,” Materials Research Society, Symposium Proceedings, Vol.766, pp.217-222, 2003.

[66]  N. Hata, C. Negoro, S. Takada, K. Yamada, Y. Oku, and T. Kikkawa, “Advanced characterization of ultra- low-k periodic porous silica films-pore size distribution, pore-diameter anisotropy, and size and macroscopic isotropy of domain structure,” Materials Research Society, Symposium Proceedings,Vol.766, pp.191-195, 2003.

[67] C. Negoro, N. Hata and T. Kikkawa, “Nondestructive Characterization of Pore Size Distributions in Porous Low-K Films by in-situ Spectroscopic Ellipsometry in Vapor Cell,” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 78-79, Tokyo, Sept. 16-18, 2003.

[68] Y. Seino, R. Ichikawa, H. Tanaka and T. Kikkawa, “Accurate measurement of mechanical properties of nanoporous silica ultra-low-k films,” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 80-81, Tokyo, Sept. 16-18, 2003.

[69] S. Takada, N. Hata, Y. Seino, K. Yamada, Y. Oku and T. Kikkawa, “Mechanical Property and Skeletal Silicate Structure of Periodic Porous Silica Films,” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 82-83, Tokyo, Sept. 16-18, 2003.

[70] X. Xiao, N. Hata, K. Yamada, H. Tanaka and T. Kikkawa, “Determination of the Mechanical Properties of Thin Periodic Porous Silica Films by Laser-Generated Surface Acoustic Wave Technique,” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 84-85, Tokyo, Sept. 16-18, 2003.

[71] H. Matsuo, A. Ishikawa and T. Kikkawa, “In-situ Measurement of Friction Force during Cu Chemical Mechanical Polishing,” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 254-255, Tokyo, Sept. 16-18, 2003.

[72] N. Hata, C. Negoro, K. Yamada and T. Kikkawa, “Control of Pore Size and Porosity in Periodic Porous Silica Low-k Films,” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 258-259, Tokyo, Sept. 16-18, 2003.

[73] A.B.M. H. Rashid, S.Watanabe and T. Kikkawa, “Inter-chip Wireless Interconnection using Si Integrated Antenna, ” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 394-395, Tokyo, Sept. 16-18, 2003.

[74] S. Kuroki, T. Hirota and T. Kikkawa, “A Novel Photosensitive Porous Low-k Interlayer Dielectric Film, ” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 468-469, Tokyo, Sept. 16-18, 2003.

[75] S. Sakamoto, S. Kuroki and T. Kikkawa, “Influence of Humidity on Electrical Characteristics of Porous Silica Films, ” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 478-479, Tokyo, Sept. 16-18, 2003.

[76] M. Yamato, H. Yamada and T. Kikkawa, “Influence of interface layers and bottom electrodes on (Ba,Sr)TiO3 thin film leakage current, ” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 484-485, Tokyo, Sept. 16-18, 2003.

[77] S. Watanabe, A.B.M. H. Rashid and T. Kikkawa, “Effect of High Resistivity Si Substrate on Antenna Transmission Gain for On-Chip Wireless Interconnects, ” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 668-669, Tokyo, Sept. 16-18, 2003.

[78] M. Kanamura, T. Kikkawa, N. Adachi, T. Kimura, S. Yokogawa, M. Nagahara, N. Hara and K. Joshin, ” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 916-917, Tokyo, Sept. 16-18, 2003.

[79] Y. Oku, K. Yamada, T. Goto, Y. Seino, A. Ishikawa, T. Ogata, K. Koumura, N. Fujii, N. Hata, R. Ichikawa, T. Yoshino, C. Negoro, A. Nakano, Y. Sonoda, S. Takeda, H. Miyoshi, S. Oike, H. Tanaka, H. Matsuo, K. Kinoshita, and T. Kikkawa, "Novel Self-Assembled Ultra-Low-K Porous Sillica Films with High Mechanical Strength for 45nm BEOL Technology,"IEEE International Electron Devices Meeting Technical Digest, pp139-142, 2003.

[80] J. Kawahara, A. Nakano, N. Kunimi, K. Kinoshita, Y. Hayashi, A. Ishikawa, Y. Seino, T. Ogata, H. Takahashi, Y. Sonoda, T. Yoshino, T. Goto, S. Takeda, R. Ichikawa, H. Miyoshi, H. Matsuo, S. Adachi, and T. Kikkawa, "A New Plasma-Enchanched Co-Polymerization (PCP)Technology for Reinforcing Mechanical Properties of Organic Sillica Low-K/Cu Interconnects on 300mm Wafers,"IEEE International Electron Devices Meeting Technical Digest, pp143-146, 2003.

[81]  S. Watanabe, A.B.M. H. Rashid and T. Kikkawa, "Influence of Si Substrate Ground on Antenna Transmission Gain for on-chip Wireless Interconnects," Abst. Advanced Metallization for ULSI Application, pp. 94-95, 2002, Conference Proceedings, pp.543-548.

[82]  A.B.M. H. Rashid, S.Watanabe and T. Kikkawa, "Wireless Interconnection on Si using Integrated Antenna", Proceedings of 2002 International Conference on Solid State Devices and Materials, (Nagoya, Japan, September, 2002), pp.648-649.

[83]  K. Uera, J. Kawahara, H. Miyoshi, N. Hata, and T. Kikkawa, “Dielectric Constant and Young’s Modulus of Organic Low-k Materials by Molecular Orbital Method,” Abstract of Advanced Metallization for ULSI Application, pp. 20-21, 2002;Conference Proceedings ULSIXVIII, Materials Research Society, pp. 643-648, 2003.

[84] C. Negoro, N. Hata , K. Yamada, H. S. Zhou, and T. Kikkawa, "Characterization of Porous Low-k Dielectrics by Gas Adsorption Techniques," Abstract of Advanced Metallization for ULSI Application (2002) pp.34-35. Conference Proceedings ULSIXVIII, Materials Research Society, pp.273-278 (2003).

[85]  N. Sasaki, T. Oda, and T. Kikkawa, “Influence of Metal Electrodes on Leakage Current in MSQ Films with or without pores,” Advanced Metallization Conference, pp.265-268, 2002.

[86] N. Hata, C. Negoro, K. Yamada, H. S. Zhou, Y. Oku, and T. Kikkawa, "Analysis of pore structures in ultra low-k dielectrics," Extended Abstracts of SSDM, (Japan Society of Applied Phys., Tokyo), 2002, pp.496-497.

[87] K. Yamada, Y. Oku, N. Hata, S. Takada and T. Kikkawa, "Structure control of periodic porous silica film for low-k application," Extended Abstracts of SSDM, (Japan Society of Applied Phys., Tokyo), 2002, pp.40-41.

[88] S. Fujisawa, T. Kikkawa, and T. Kizuka, " Novel TEM/AFM/STM Microscopy for Cu Nano-Wire Electromigration," Extended Abstracts of SSDM, (Japan Society of Applied Phys., Tokyo), 2002, pp.50-51.

[89] Y. Oku, K. Yamada, N. Nishiyama, S. Tanaka, K. Ueyama, N. Hata and T. Kikkawa, “Effect of TEOS treatment on the properties of periodic nanoporous silica low-k film,” Ext. Abst. of Inter. Conf. on Solid State Devices and Materials, pp. 42-43, Nagoya, Sept. 17-19, 2002.

[90] H. Yamada, N. Fujiwara, M. Yamato, S. Miyazaki, F. Nishiyama and T, Kikkawa, “ Influence of Electrodes on the Leakage Current In (Ba,Sr)TiO3 Thin films,” Abstract of ECS International Semiconductor Technology Conference, ISTC 2002, E, AbstractNo.108

[91] S. Kuroki, T. Kikkawa, H. Kochiya, and S. Shishiguchi, "Direct Patterning of Low-k Dielectric Films using X-Ray Lithography," Extended Abstracts of SSDM, (Japan Society of Applied Phys., Tokyo), 2002, pp.464-465.

[92] S. Sakamoto, K. Komura, and T. Kikkawa, "Effect of hexamethyldisilazane on the electrical characteristics of a porous silica thin film, "Abstract of 2002 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (2002). pp.125-129.

[93] T. Kikkawa, "Present status and future trend of low-k dielectrics/interconnect technologies for ULSI (Invited)," Proc. 2002 7th International Syposium on Plasma-and Process-Induced Damage, (American Vacuum Society, IEEE, Maui, USA) (2002) pp.154-157.

[94] ABM H. Rashid, S. Watanabe, T. Kikkawa, X. Guo, and K. O, "Interference suppression of wireless interconnecton in Si integrated antenna," Proc. International Interconnect Technology Conference (IEEE, San Francisco, USA, June 3-5), 173-175.

[95]  N. Hata, Y. Oku, K. Yamada, and T. Kikkawa, “A new approach of thin-film X-ray diffraction / scattering analysis for ultra-low-k dielectrics with periodic pore structures,” Materials Research Society Spring Meeting, April 2002, Symposium Proceedings,Vol.716, pp.581-586.

[96]  Y. Oku, N. Nishiyama, S. Tanaka, K. Ueyama, N. Hata, and T. Kikkawa, “Novel periodic nanoporous silicate glass with high structural stability as low-k thin film,” Materials Research Society Spring Meeting, April, 2002, Symposium Proceedings,Vol.716, pp.587-592. 

[97] T. Kikkawa and T. Oda, ""Influence of copper ion drift on leakage current in porous methylsilsesquioxane derived from methylpolysilazane," Proc. European Workshop on Materials for Advanced Metallization (Vaals, The Netherlands, March 3-6, 2002)

[98] T. Kikkawa, "Current and Future Low-k/Cu Interconnect Technologies for ULSIs (Invited)", Proc. Workshop on Frontiers in Electronics, ( IEEE, St. Croix, Jan. 6-11, 2002) P.25

[99] 尾田智彦、青木倫子、舟山徹、吉川公麿, 「低誘電率層間絶縁膜中へのCuイオンドリフトによる絶縁破壊」, 61回半導体・集積回路技術シンポジウム,(電気化学協会、 200112月), pp.31-36

[100]T. Kikkawa, "A photosensitive low-k interlayer-dielectric film for ULSIs (Invited)", Proc. International Conference on Solid-State and Integrated Circuit Technology ", (Chinese Institute of Electronics, Shanghai, Oct.22-25, 2001) pp.348-351.

[101] T. Kikkawa, S. Mukaigawa, T. Oda, T. Aoki, and Y. Shimizu, "Copper drift in porous methylsilsesquiazane low-k dielectric films", Proc. 30th European Solid-State Devices Research Conference (Ireland's Information and Communication Tecnologies research Centre, Cork, Ireland, Sept. 11-13, 2000) pp.208-211.

[102] 藤原直憲、山田紘士、吉川公麿、宮崎誠一、西山文隆、廣瀬全孝、「(Ba,Sr)TiO3高誘電率膜特性の電極金属依存性」、電子情報通信学会技術研究報告 SDM2001 シリコン材料・デバイス、20016月、pp.49-54

[103] T. Kikkawa, "Current and Future Low-k Dielectrics for Cu Interconnects (Invited), Technical Digest of International Electron Devices Meeting, (IEEE, San Francisco, Dec. 10-13, 2000), pp. 253-256.

[104] 尾田智彦、向川政治、青木倫子、舟山徹、吉川公麿、「低誘電率層間絶縁膜中のリン添加効果によるCuイオンドリフト抑制効果」、電子情報通信学会技術研究報告、vol. 100, No. 603,SDM2000-192, pp.35-42.

[105] N. Fujiwara, T. Kikkawa, S. Miyazaki, F. Nishiyama, M. Hirose, "Inductive-coupled RF magnetron plasm deposition, of (Ba, Sr)TiO3 for decoupling capacitors", Extended Abstract of the 2000 International Conference on Solid State Devices and Materials, SSDM2000, Japan Society of Applied Physics, pp. 158-159.

[106] S. Mukaigawa, T. Oda, T. Aoki, Y. Shimizu, and T. Kikkawa, "Copper ion drift rates in porous methylsilsesquiazane dielectric films", Extended Abstract of the 2000 International Conference on Solid State Devices and Materials, Japan Society of Applied Physics, Sendai, 2000, pp. 34-35.

[107] T. Kikkawa, "Advanced Interconnect Technologies for ULSI Scaling (Invited)", Proceedings of International Conference on VLSI and CAD,(Korea Semiconductor Industry Association, Seoul, 1999)pp.202-207.

[108] S. Mukaigawa, T. Aoki, Y. Shimizu, and T. Kikkawa, "Measurement of Copper Drift in Methylsilsesquioxane Dielectric Films", Proceedings of International Conference on Solid-State Devices and Materials (Japan Society of Applied Physics, Tokyo, 1999)pp.504-505.

[109] T. Aoki, Y. Shimizu, and T. Kikkawa, "Porous Silicon Oxynitride Films Derived from Polysilazane as a Novel Low-Dielectric Constant Material", Materials Research Society Symposium Proceedings, vol.565(Materials Research Society, Warrendale, PA, 1999) pp.41-46.

[110] T. Kikkawa, "ULSI Scaling and Interconnect Technology (Invited)", Proceedings of the Advanced Metallization Conference in 1998 ( Materials Research Society, Wsarrendale, PA, 1999) pp.705-715.

[111] T. Kikkawa, "VLSI Interconnect Process Integration (Invited)", Proceedings of International Conference on Solid-State and Integrated Circuit Technology, (Chinese Institute of Electronics, Beijing, Oct. 21-23, 1998)pp.40-42.

[112] K.Sugai, S.Chikai, T.Nakajima, T. Kikkawa, "Dual Damascene Interconnects with 0.28μm-Vias Using In-sith Copper Doped Aluminum Chemical Vapor Deposition", Technical Digest of International Electron Devices Meeting (IEEE, New York, 1997) pp. 781-784.

[113] Y. Tuchiya, K. Ueno, T. Kikkawa Y. Hayashi, V. Donnely, A. Kobayashi, A. Sekiguchi, "Ultra-Low Resistance Direct Contact Cu Via Technology Using In-situ Chemical Vapor Cleaning" , Digest of Tech. Papers. Symp on VLSI Technology (IEEE, New York, 1997)pp.59-60.

[114]  T. Kikkawa, K. Fujii, K. Inoue, "Recent progress of salicide technologies for subquarter micron CMOS" ,Int Electron Devices & Materials Symp (IEDMS, Taiwan, 1996) pp.125-132.

[115]   K. Inoue, K. Mikagi, S. Chikaki, T. Kikkawa, and R. Tung, "Epitaxial cobalt silicide formation using high temperature sputtering and vacuum annealing" , Proc. MRS vol.440 (Materials Research Soc., Pittsburgh 1997) pp.435-440.

[116] K. Mikagi, H. Ishikawa, T. Usami, M. Suzuki, K. Inoue, N. Oda, S. Chikaki, I. Sakai, and T. Kikkawa, "Barrier metal free copper damascene interconnection technology using atmospheric copper reflow and nitrogen doping in SiOF film" , Technical Digest of International Electron Devices Meeting (IEEE, New York, 1996) pp.365-368.

[117] K. Ohto, K. Urabe, T. Tguwa, S. Chikaki, and T. Kikkawa,"A novel TiN/Ti contact plug technology for gigabit scale DRAM using Ti-PECVD and TiN-LPCVD", Technical Digest of International Electron Devices Meeting (IEEE, New York, 1996) pp.361-364.

[118] K. Fujii, K. Kikuta, K. Inoue, K. Mikagi, S. Chikaki, and T. Kikkawa, "A thermally, stable Ti-W salicide for deep submicron logic with embedded DRAM",  International Electron Devices Meeting Technical Digest (IEEE, New York, 1996) pp. 451-454.

[119] K. Fujii, K. Kikuta R. Tung and T. Kikkawa, "Phase transformations of titanium sicilicide induced by high temperature sputtering", Proceedings of Materials Research Society Fall Meeting,( Materials Reseach Society, Pittsburgh, PA, 1995), pp.272-272.

[120] T. Taguwa, K. Urabe, M. Sekine, Y. Yamada and T. Kikkawa, "Low contact resistance metallization for giga bit scale DRAMs using fully dry cleaning by Ar/H2 ECR plasma", Technical Digest of International Electron Devices Meeting ( IEEE, New York, 1995), pp.695-698.

[121] K. Inoue, K. Mikagi, H. Abiko and T. Kikkawa, "A new cobalt salicide technology for 0.15um CMOS using high temperature sputtering and in-situ vacuum annealing", Technical Digest of International Electron Devices Meeting ( IEEE, New York, 1995), pp.445-448.

[122]  K. Fujii, K. Kikuta and T. Kikkawa, "Sub-quarter micron titanium salicide technology with in-situ silicidation using high temperature sputtering: Proceedings of the Symposium on VLSI Technology,( IEEE, New, York, 1995), pp.57-58.

[123] T. Kikkawa, "0.35 um Technologies in Japan", Proceedings of Materials Research Society Fall Meeting, (Materials Research Society, Pittsburgh, PA, 1995) xv+648, pp.199-208, (1996).

[124] M. Sekine, K. Inoue, I. Honnma, H. Miyamoto, K. Yoshida, H. Watanabe, K. Mikagi, Y. Yamada and T. Kikkawa, "Self-aligned tungsten strapped source drain and gate technology realizing the lowest sheet resistance for sub-quarter micron CMOS", Technical Digest of International Electron Devices Meeting ( IEEE, New York, 1994), pp. 493-496, (1994).

[125] K. Kikuta, Y. Hayashi, T. Nakajima, K. Harashima and T. Kikkawa, "Aluminum Germanium Copper multilevel damascene process  using low temperature reflow sputtering and chemical mechanical polishing", Technical Digest of International Electron Devices Meeting,( IEEE, New York, 1994), pp. 101-104, (1994).

[126 K. Kikuta, T. Nakajima, K. Ueno and T. Kikkawa, "Multilevel planarized-trench aluminum (PTA) interconnection using reflow sputtering and chemical mechanical polishing",  Technical Digest of International Electron Devices Meeting ( IEEE, New York, 1993), pp.285-288.

[127] T. Kikkawa, "Recent developments in quarter-micron interconnect technologies for 256-Mbit DRAMs", Proceedings of the Conference on Advanced Metallization for ULSI Applications in 1993 (Materials Research Society, Pittsburgh, PA, 1993), pp.3-11.

[128] Y. Hayashi, K. Kikuta and T. Kikkawa, "A new abrasive-free chemical-mechanical-polishing technique for aluminum metallization of ULSI devices", Technical Digest of International Electron Devices Meeting , ( IEEE, New York, 1992), pp. 976-978, (1992).

[129] K. Ueno, K. Ohto, K. Tsunenari, K. Kajiyana, K. Kikuta and T. Kikkawa, " A quarter-micron planarized interconnection technology with self-aligned plug", Technical Digest of International Electron Devices Meeting,( IEEE, New York, 1992), pp .305-308, (1992).

[130] H. Watanabe, T. Tatsumi, S. Ohnishi, T. Hamada, I. Honma and T. Kikkawa, "A new cylindrical capacitor using hemispherical grained Si (HSG-Si) for 256 Mb DRAMs", Technical Digest of International Electron Devices Meeting ,( IEEE, New York, 1992), pp. 259-262, (1992).

[131] H. Watanabe, T. Tatsumi, A. Sakai, S. Shishiguchi, T. Niino, I. Honma, T. Mizutani and T. Kikkawa, "Hemispherical grained silicon (HSG-Si) formation on in-situ phosphorous  doped amorphous-Si using the seeding method",  Extended Abstracts of Conference on Solid State Devices and Materials,( Japan Society of Applied Physics, Tokyo, 1992), pp.422-424.

[132]  H. Aoki, T. Hashimoto, E. Ikawa, T. Kikkawa, S. Yamamichi, T. Sakuma and Y. Miyasaka, "Submicron SrTiO3 patterning by reactive ion etching with Cl2 and SF6", Extended Abstracts of Conference on Solod State Devices and Materials,( Japan Society of Applied Physics, Tokyo, 1992), pp.554-566.

[133] S. Ohnishi, H. Watanabe, S. Adchi, N. Aoto and T. Kikkawa, "Ultra-thin  oxide/nitride/oxide/nitride multilayer films for megabit DRAM capacitors",  Extended Abstracts of Conference on Solod State Devices and Materials,( Japan Society of Applied Physics, Tokyo, 1992), pp.67-69.

[134]  H. Aoki, T. Hashimoto, E. Ikawa and T. Kikkawa, " 0.2 um low-temperature Al etching using SiO2 mask: Digest of MicroProcess, ( Japan Society of Applied Physics, Tokyo, 1992), pp.144-145.

[135] T. Kikkawa and K. Kikuta, " Al-Si-Cu/TiN multilayer interconnection and Al-Ge reflow sputtering technologies for quarter-micron devices", Proceedings of SPIE-The International Society for Optical Engineering Fall Meeting, vol.1805 Submicrometer Metallization: The Challenges, Opportunities, and Limitations, ( Society of Photo-optical Instrumentation Engineers, Washington, 1992), pp.54-64.

[136] T. Kikkawa, "Quartermicron interconnection technologies for 256 MDRAMs", Extended Abstracts of International Conference on Solid-State Devices and Materials, ( The Japan Society of Applied Physics, Tokyo, 1992), pp.90-92.

[137] K. Koyama, T. Sakuma, S. Yamamichi, H. Watanabe, H. Aoki, S. Ohya, Y. Miyasaka and T. Kikkawa, "A stacked capacitor with (BaxSr1-x)TiO3 for 256 MDRAM", echnical Digest of International Electron Devices Meeting , ( IEEE, New York, 1991), pp.823-826.

[138]H. Watanabe, N. Aoto, S. Adachi and T. Kikkawa, "Device application and growth mechanism for hemi-spherical grained Si", Material Research Society Symposium Proceedings, vol.219, ( Material Research Society, Pittsburgh, 1991), pp.401-406.

[139] H. Aoki, E. Ikawa, T. Kikkawa, Y. Teraoka and I. Nishiyama), "The dependence of residual chlorine amount on Al grain size", Proceedings of Symposium on Dry process: ( Institute of Electrical Engineers of Japan, Tokyo, 1991), pp.123-128.

[140] H. Watanabe, T. Tatsumi, T. Niino, A. Sakai, S. Adachi, N. Aoto, K. Koyama and T. Kikkawa, "An advanced fabrication technology of hemispherical grained (HSG) poly-Si for high capacitance storage electrode", Extended Abstracts of Conference on Solid State Devices and Materials,( Japan Society of Applied Physics, Tokyo, 1991), pp.478-480.

[141] H. Aoki, E. Ikawa, T. Kikkawa, Y. Teraoka and I. Nishiyama, "Direct analysis for the contamination in contact and via holes after dry etching using thermal desorption spectroscopy", Extended Abstracts of Conference on Solid State Devices and Materials, ( Japan Society of Applied Physics, Tokyo, 1991), pp.562-564.

[142] K. Kikuta, T. Kikkawa and H. Aoki, "Al-Ge reflow sputtering for submicron contact-hole filling", Proceedings of VLSI Multilevel Interconnection Conference, 1991 pp.163-166.

[143] T. Kikkawa, H. Aoki, E. Ikawa and J. Drynan), "A quarter-micron interconnection technology using TiN/Al-Si-Cu/TiN/Al-Si-Cu/TiN/Ti alternated layers", Technical Digest of International Electron Devices Meeting ,( IEEE, New York, 1991),  pp.281-284.

[144] M. Sakao, N. Kawai, T. Ishijima, E. Ikawa, H. Watanabe, K. Terada, and T. Kikkawa, "A capacitor-over-bit-line (COB) cell with a hemispherical grain storage node for 64Mb DRAMs", Technical Digest of International Electron Devices Meeting ,( IEEE, New York, 1990), pp.655-658.

[145] N. Aoto, H. Watanabe, E. Ikawa and T. Kikkawa, "Initital oxidation reaction on Cl-adsorbed Si surfaces",  Extended Abstracts of Conference on Solid State Devices and Materials,( Japan Society of Applied Physics, Tokyo, 1990), pp.1111-1114.

[146] H. Aoki, E. Ikawa, T. Kikkawa, Y. Teraoka and I. Nishiyama, "After-corrosion suppression using low-temperature Al-Si-Cu Etching: Proceedings of Symposium on Dry Process, ( Institute of Electrical Engineers of Japan, Tokyo, 1990), pp.141-146.

[147] K. Kikuta, T. Kikkawa and H. Aoki, " 0.25 um contact hole filling by Al-Ge reflow sputtering: Proceedings of the Symposium on VLSI Technology, ( IEEE, New, York, 1990), pp.35-36.

[148] K. Kikuta, T. Kikkawa, M. Kawanaka and J. Sone, "Poly-Si/GaAs layered structure on Si as a wide  bandgap emitter for Si heterojunction bipolar transistor", Extended Abstracts of Conference on Solid State Devices and Materials, ( Japan Society of Applied Physics, Tokyo, 1989), pp.369-372.

[149] T. Kikkawa and N. Endo, "The hydrogen evolution in plasma-deposited silicon nitride/aluminum-alloy layered structures",  Abstracts of Electronic Materials Conference,( The Metallurgical Society, Pennsylvania, 1989), E31.

[150]T. Kikkawa, N. Endo, T. Yamazaki and H. Watanabe, "Comparison of refractory metal and silicide capping effect on aluminum metallizations, " Proceedings of IEEE VLSI Multilevel Interconnection Conference, ( IEEE, New York, 1989), pp.463-469.

[151] T. Homma, K. Eguchi, Y. Numasawa, T. Kikkawa, Y. Hokari and K. Hamano, "A new polyimide siloxane film for interlayer dielectrics in sub-micron multilevel interconnection", Proceedings of VLSI Multilevel Interconnection Conference, (1988), pp.279-285.

[152] T. Kikkawa and H. Watanabe, "Dielectric film blisters and aluminum film deformations in multilevel metallization", Abstracts of The Metallurgical Society Fall Meeting, ( The Metallurgical Society, Pennsylvania, 1986).

[153] T. Kikkawa, S. Chikaki, Y. Matsumoto, T.Ueno and  H. Watanabe, "Hillock-free metallization using electron cyclotron resonance palsma CVD films", Proceedings of the Symposium on Reduced Temperature Processing for VLSI, ( The Electrochemical Society, New Jersey, 1986), pp.235-246, (1986).

[154] M. Rodder, S. Madan, D. A. Antoniadis and T. Kikkawa, "Effects of Si3N4 and Al films on the passivation of poly-Si films", Abstracts  of  the  Device Research Conference ( IEEE, New York, 1984), VB-4.

[155]T. Kikkawa, T. Suganuma, K. Tanaka and T. Hara, "A new complementary transistor structure for analog integrated circuits", Technical Digest of International Electron Devices Meeting (IEDM) ( IEEE, New York, 1980), pp.65-68


Book

[1]吉川公麿、次世代ULSI多層配線の新材料・プロセス技術、吉川公麿監修、技術情報協会 (2000)

[2]吉川公麿「TiSi2プロセス」「次世代配線材料プロセス」、次世代ULSIプロセス技術、廣瀬全孝編、リアライズ社、(2000

[3]吉川公麿「メタライゼーション」半導体大辞典、菅野卓雄、川西剛監修、工業調査会 (1999)

[4] 吉川公麿、「半導体平坦化CMP技術」土肥俊郎河西敏雄中川威雄編、工業調査会、(1998

[5]
吉川公麿、「サブミクロン多層配線技術」19ーデバイスとプロセス その9、半導体研究41巻、西澤潤一編、工業調査会、(1995).

[6] Takamaro Kikkawa, "Improved Transistor Structure in Power Integrated
 Circuits", Power Integrated Circuits  (edited by Paolo Antognetti), McGraw-Hill Book Company, New York, 1984.

特許登録リスト

出願届出日                   発明の名称                                                 出願番号                                  登録番号                                  登録日

1978.07.29 半導体装置                                                 特願昭53-139557 特許1453431                   1988.08.10

1979.03.24 半導体集積回路装置                                      特願昭54-070339 特許1416792                   1987.12.22

1979.05.16 半導体装置                                                 特願昭54-085446 特許1421051                   1988.01.29

1979.07.06 半導体装置                                                 特願昭54-107837 特許1503558                   1989.06.28

1980.01.17 半導体装置                                                 特願昭55-071184 特許1478971                   1989.02.10

1980.09.03 半導体集積回路装置の製造方法        特願昭55-133386 特許1324914                   1986.06.27

1981.06.25 半導体装置の製造方法                                      特願昭56-103533 特許1644085                   1992.02.28

1981.11.26 半導体装置の製造方法                                      特願昭56-197277 特許1421205                   1988.01.29

1982.12.24 半導体装置の製造方法                                      特願昭58-023960 特許1740696                   1993.03.15

1983.03.14 半導体装置の製造方法                                      特願昭58-065822 特許1740702                   1993.03.15

1985.11.18 半導体装置の製造方法                        特願昭61-017110 特許1908504                   1995.02.24

1986.04.09 半導体装置の配線層間膜およびその特願昭61-105871 特許2561243                   1996.09.19

1986.04.09 半導体装置の製造方法                                      特願昭61-105872 特許2561244                   1996.09.19

1986.04.09 半導体装置の配線層間膜およびその特願昭61-105873 特許2561245                   1996.09.19

1986.04.10 半導体装置の製造方法                                      特願昭61-105874 特許2038605                   1996.03.28

1986.04.09 半導体装置用塗布溶液及び半導体装特願昭61-105875 特許2561246                   1996.09.19

1986.04.09 半導体装置の製造方法                                      特願昭61-105876 特許2594545                   1996.12.19

1986.12.12 多層配線構造体の製造方法       特願昭62-041886 特許1891048                   1994.12.07

1986.07.30 半導体装置の製造方法                        特願昭62-048005 特許2131808                   1997.08.29

1987.03.09 半導体集積回路装置                                      特願昭62-052324 特許2553544                   1996.08.22

1986.12.04 半導体装置の製造方法                                      特願昭62-125206 特許2100506                   1996.10.22

1986.12.04 半導体装置の製造方法                                      特願昭62-125207 特許2664902                   1997.06.20

1987.02.26 半導体装置の製造方法      特願昭62-190096                   特許1995183                   1995.11.22

1990.05.07 半導体装置とその製造方法               特願平02-120620 特許2736370                   1998.01.16

1990.05.07 半導体装置とその製造方法               特願平02-123925 特許2730265                   1997.12.19

1991.02.14 半導体装置及びその製造方法           特願平03-053931 特許5345108    

1991.02.14 半導体装置及びその製造方法           特願平03-055888 特許3109112                   2000.09.14

1992.01.09 半導体装置およびその製造方法        特願平04-020833 特許3158598                   2001.02.16

半導体装置 特願2003-117826 2003.4.23吉川、岩田、角南、マタウシュ、横山、芝原、中島、小出、ラシド(学振特 別研究員)、渡辺(学生)UWB送受信可能なアンテナによる半導体基板上および基板間の通信機能を有する半導体装置            

2005 Kikkawa Laboratory @ RCNS, Hiroshima Univ. All rights reserved.