Quick Jump

2015 / 2014
2013 / 2012 / 2011 / 2010 / 2009 / 2008 / 2007 / 2006 / 2005
2004 / 2003 / 2002 / 2001 / 2000 / 1999 / 1998 / 1997 / 1996

2007 (2007 April - 2008 April)

  1. Advanced device process and material technologies for ULSI
  2. Self assembling technique and quantum structure
  3. Technologies for intelligent systems
  4. Technologies for biosensing systems

1. Advanced device, process, and material technologies for ULSI

  • Fabrication techniques for scaled MOS devices and TFTs
  • Evaluation and modeling techniques for scaled MOS devices
  • High-k dielectrics
  • Optical interconnection
  • Low-k dielectrics
  • Wireless interconnects
  • CVD and contamination/particle control
  • 1.1. Fabrication techniques for scaled MOS devices and TFTs

    1. M. Tanaka, T. Hosoi, and K. Shibahara, “Issues for Pd2Si and NiSi Fully Silicided Gate Formation,” Conf. Digest of The 2007 Int. Meeting for Future of Electron Devices, Kansai (Osaka, Japan, April 23-24, 2007), pp. 39-40.
    2. T. Hosoi, K. Sano, K. Hosawa, and K. Shibahara, “Formation Kinetics and Workfunction Tuning of Pd2Si Fully Silicided Metal Gates,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 1929-1933, 2007.
    3. K. Shibahara and N. Maeda, “Gate-Extension Overlap Control by Sb Tilt Implantation,” IEICE Trans. Electron., Vol. E90-C, No. 5, pp. 973-977, 2007.
    4. K. Shibahara, T. Eto, and T. Fukunaga, “Dual-Pearson Parameter Extraction for In Tilt Implantation,” Ext. Abst. of the 7th Int. Workshop on Junction Technology 2007 (Kyoto, Japan, June 8-9, 2007), pp. 25-26.
    5. T. Yamashita, Y. Nishida, T. Okagaki, Y. Miyagawa, J. Yugami, H. Oda, Y. Inoue, and K. Shibahara, “Study of Stress from Discontinuous SiN Liner for Fully-Silicided Gate Process,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 870-871.
    6. K. Shibahara, T. Eto, and T. Fukunaga, “Universality of Pearson Parameters Extracted for In Tilt Implantation,” Proc. of 2007 Korea-Japan Joint Workshop on Advanced Semiconductor Processes and Equipments, (Busan, Korea, Oct. 4-6, 2007), pp. 215-217.
    7. T. Hosoi, K. Shibahara, M. Song, and K. Furuya, “In-Situ TEM Observation of Silicide Formation and Dopant Segregation in Ni Fully Silicided Gates,” Proc. of 5th Int. Symp. on Control of Semiconductor Interfaces (Tokyo, Japan, Nov. 12-14, 2007), pp. 147-148.
    8. T. Yamashita, Y. Nishida, T. Okagaki, Y. Miyagawa, J. Yugami, H. Oda, Yasuo Inoue, and K. Shibahara, “Stress from Discontinuous SiN Liner for Fully Silicided Gate Process,” Jpn. J. Appl. Phys., Vol. 47, No. 4B, pp. 2569-2574, 2008.
    9. S. Matsumura, A. Sugimura, K. Okuyama, and H. Sunami, “Anomalous Whisker Generation in Ni-Silicided Source and Drain for Three-Dimensional Beam-Channel MOS Transistor on SOI Substrate,” Proc. of Advanced Metallization Conference 2006, pp. 631-635, 2007.
    10. K. Kobayashi, K. Okuyama, and H. Sunami, “Plasma doping induced damages associated with source/drain fomrmation in three-dimensional beam-channel MOS transistor,” Microelectronic Engineering, Vol. 84, pp. 1631-1634, 2007.
    11. K. Okuyama, K. Yoshikawa, and H. Sunami, “Control of Subthreshold-Characteristics of Narrow-channel SOI nMOS Transistor Utilized Additional Side Gate Electrodes,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 2050-2053, 2007.
    12. H. Sunami, “The Role of the Trench Capacitor in DRAM Innovation,” IEEE SSCS News, Vol. 13, No.1, pp. 42-44, 2008.
    13. K. Okuyama, K. Yoshikawa, and H. Sunami, “Proposal of 3-Dimensional Independent Triple-Gate MOS Transistor with Dynamic Current Control,” Proc. of 14th Int. Symp. on VLSI Technology, Systems, and Applications (Hsinchu, Taiwan, Apr. 23-25, 2007), pp. 132-133.
    14. K. Okuyama, A. Sugimura, and H. Sunami, “An Optimized Silicidation Technique for Source and Drain of FINFET,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 1044-1045.
    15. T. Hirata, K. Kajikawa, T. Tabei, and H. Sunami, “Proposal of a Silicon Optical Modulator Based on Inversion-Carrier Absorption,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 280-281.
    16. 角南英夫, 「技術者のための特許事始―半導体技術を中心として―」, コロナ社, 2008.
    17. T. Tabei, T. Hirata, K. Kajikawa, and H. Sunami, “Potentiality of Silicon Optical Modulator Based on Free-Carrier Absorption,” 2007 International Electron Devices Meeting Technical Digest (Washington, D.C., U.S.A., Dec. 10-12, 2007), pp. 1023-1026.
    18. T. Hirata, K. Kajikawa, T. Tabei, and H. Sunami, “Proposal of a Metal-Oxide-Semiconductor Silicon Optical Modulator Based on Inversion-Carrier Absorption,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 2906-2909, 2008.
    19. Y. Ono, H. Kaku, K. Makihara, S. Higashi, and S. Miyazaki, “High Rate Growth of Highly Crystallized Ge:H Thin Films from VHF Inductively-Coupled Plasma of GeH4,” The 1st Int. Conf. on Plasma-NanoTechnology and Science (Nagoya, Japan, Mar. 13-14, 2008), P-04.
    20. H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, and S. Miyazaki, “Impact of Annealing condition on the Efficiency of Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si films,” The 1st Int. Conf. on Plasma-NanoTechnology and Science (Nagoya, Japan, Mar. 13-14, 2008), P-05.
    21. T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami, and S. Miyazaki, “Photoluminescent Properties of SiOx Films Formed by Plasma Enhanced Chemical Vapor Deposition,” The 1st Int. Conf. on Plasma-NanoTechnology and Science (Nagoya, Japan, Mar. 13-14, 2008), P-10.
    22. H. Kaku, S. Higashi, T. Yorimoto, T. Okada, H. Furukawa, H. Murakami, and S. Miyazaki, “Formation of Source and Drain for Polycrystalline Si Thin Film Transistors Using Thermal Plasma Jet Induced Impurity Activation,” Proc. of 4th Int. TFT Conference, (Seoul, Korea, Jan. 24-25, 2008), pp. 331-334.
    23. T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, and S. Miyazaki, “Low Defect Density Polycrystalline Si Films Formed by Thermal Plasma Jet Crystallization and Their Application to Thin-Film Transistor,” Abst. of 2007 Material Research Society Spring Meeting (San Francisco, U. S. A., Mar. 24-28, 2008), A12.3.
    24. H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki, “In-situ Measurement of Temperature Variation in Si Wafer During Millisecond Rapid Thermal Annealing Induced by Thermal Plasma Jet Irradiation,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 2460-2463, 2008.
    25. H. Kaku, S. Higashi, H. Furukawa, T. Okada, H. Murakami, and S. Miyazaki, “Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si films,” Abst. of the 14th Int. Workshop on Active-Matrix Flatpanel Display and Devices, (Awaji, Hyogo, Japan, July 11-13, 2007), pp. 33-36.
    26. H. Kaku, S. Higashi, H. Furukawa, T. Okada, T. Yorimoto, H. Murakami, and S. Miyazaki, “High Efficiency Dopant Activation Induced by Thermal Plasma Jet Crystallization of Heavily-Phosphorus-Doped Amorphous Si Films,” Abst. of 5th Int. Symp. on Control of Semiconductor Interfaces (Hachioji, Tokyo, Japan, Nov. 12-14, 2007), pp. 51-52.
    27. K. Sugakawa, S. Higashi, H. Kaku, T. Okada, H. Murakami, and S. Miyazaki, “Rapid Phase Transformation of Amorphous Ge Films Induced by Semiconductor Diode Laser Irradiation,” Laser Processing for Semiconductor Devices: Science and Technology (Sant-Malo, France, Oct. 1-2, 2007), p. 23.
    28. M. Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara, and Y. Ohji, “Fermi-level pinning position modulation by Al-containing metal gate for cost-effective dual-metal/dual-high-k CMOS,” The 2008 Symp. on VLSI Technology (Kyoto, Japan, Jun. 12-16, 2007), pp. 66-67.
    29. S. Higashi, T. Yorimoto, T. Okada, H. Kaku, H. Murakami, and S. Miyazaki, “Application of Thermal Plasma Jet to Crystallization of Amorphous Si Films on Glass Substrate and Thin Film Transistor Fabrication,” 6th Asian-European Int. Conf. Plasma Surf. Eng., Workshop on Flat-panel and Flexible Devices (Nagasaki, Japan, Sep. 24-29, 2007), p. 1.
    30. T. Sakata, K. Makihara, H. Deki, S. Higashi, and S. Miyazaki, “High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Infuctively-Coupled Plasma of GeH4+H2,” PRICM6: The 6th Pacific Rim Int. Conf. on Advanced Materials and Processing (Jeju Island, Korea, Nov. 5-9, 2007), p. 74.
    31. T. Sakata, K. Makihara, H. Deki, S. Higashi, and S. Miyazaki, “Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4,” 5th Int. Conf. on Silicon Epitaxy and Heterostructures (Marseille, France, May 20-25, 2007), pp. 214-215.
    32. T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda, and M. Kondo, “Formation of Low-Defect-Concentration Polycrystalline Silicon Films by Thermal Plasma Jet Crystallization Technique,” Abst. of 2007 Int. Symp. on Dry Process (Tokyo, Japan, Nov. 13-14, 2007), pp. 157-158 8-03..
    33. T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami, and S. Miyazaki, “Growth of Si crystalline in SiOx films induced by millisecond rapid thermal annealing using thermal plasma jet,” Solid-State Electronics, Vol. 52, Issue 3, pp. 377-380, 2008.
    34. T. Okada, S. Higashi, N. Koba, H. Kaku, H. Murakami, and S. Miyazaki, “Control of Substrate Surface Temperature in Millisecond Annealing Technique Using Thermal Plasma Jet,” Thin Solid Films, Vol. 515, pp. 4897-4900, 2007.
    35. T. Sakata, K. Makihara, H. Deki, S. Higashi, and S. Miyazaki, “High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2,” Materials Science Forum, Vol. 561-565, pp. 1209-1212, 2007.
    36. T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Maki, and T. Sameshima, “Electrical Characteristics of Lightly-Doped Si Films Crystallized by Thermal Plasma Jet Irradiation,” Transactions of the Materials Research Society of Japan, Vol. 32, No. 2, pp. 465-468, 2007.

    1.2. Evaluation and modeling techniques for scaled MOS devices

    1. S. Zhu, A. Nakajima, T. Ohashi, and H. Miyake, “Improvement in Mobility and Negative Bias Temperature Instability in Metal-Oxide-Semiconductor Field-Effect Transistors with Atomic-Layer-Deposited Si-nitride/SiO2 Stack Dielectrics,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 1874-1878, 2007.
    2. T. Ohashi, T. Kubota, and A. Nakajima, “Ar Annealing for Suppression of Gate Oxide Thinning at Shallow Trench Isolation Edge,” IEEE Electron Device Lett., Vol. 28, No. 7, pp. 562-564, 2007.
    3. S. Zhu and A. Nakajima, “Electron detrapping characteristics in positive bias temperature stressed n-channel metal-oxide-semiconductor field-effect transistors with ultrathin HfSiON gate dielectrics,” Appl. Phys. Lett., Vol. 91, No. 3, Art. No. 033501, 2007.
    4. S. Zhu and A. Nakajima, “Atomic Layer Deposition of HfO2 and Si Nitride on Ge Substrates,” Jpn. J. Appl. Phys., Vol. 46, No. 12, pp. 7699-7701, 2007.
    5. S. Zhu and A. Nakajima, “Bias temperature instability in metal-oxide-semiconductor field-effect transistors with atomic-layer-deposited Si-nitride/SiO2 stack gate dielectrics,” J. Appl. Phys., Vol. 103, No. 8, Art. No. 084512, 2008.
    6. A. Nakajima and S. Zhu, “Mechanism of Static and Dynamic Bias Temperature Instability in p- and n-MOSFETs,” 9th Int. Symp. on Silicon Nitride, Silicon Dioxide Thin Insulating Films and Emerging Dielectrics (Chicago, U.S.A, May 6-10, 2007), Abst. No. 0663 Invited.

    1.3. High-k dielectrics

    1. M. Yamato, M. Tanioku, H. Hara, and T. Kikkawa, “SrNbO3/Nb2O5 Laminated Thin Films for Gigabit DRAM Capacitor Cell,” 2007 MRS Fall Meeting Abstracts, p. 302, 2007.
    2. M. Yamato, M. Tanioku, H. Hara, and T. Kikkawa, “TaO/NbO Laminated/Compound Thin Films for Gigabit DRAM Capacitor Technology,” 2008 MRS Spring Meeting Abstracts, p. 160, 2008.
    3. H. Hara, M. Tanioku, M. Yamato, and T. Kikkawa, “Electrical Properties of TiO/LaTiO/TiO Stacked Thin Films,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 1148-1149.
    4. H. Hara, M. Tanioku, M. Yamato, and T. Kikkawa, “Characteristics of TiO2/Al2O3/TiO2 Sandwich Structures for Next Generation DRAM Capacitor Cell,” 2007 MRS Fall Meeting Abstracts, p. 302, 2007.
    5. A. Ohta, Y. Munetaka, A. Tsugo, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, and Y. Nara, “Characterization of Chemical Bonding Features and Defect State Density in HfSiOxNy/SiO2 Gate Stack,” Conf. on Insulating Films on Semiconductors 2007 (Athens, Greece, Jun. 20-23, 2007), p. 254.
    6. A. Ohta, H. Yoshinaga, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima, and Y. Nara, “Impact of Low Temperature Anneal on Effective Work Function and Chemical Bonding Features for Ru/HfSiON/SiON Gate Stack,” 5th Int. Symp. on Control of Semiconductor Interfaces (Tokyo, Japan, Nov. 12-14, 2007), pp. 215-216.
    7. A. Ohta, R. Yougauchi, H. Murakami, S. Higashi, E. Ikenaga, K. Kobayashi, and S. Miyazaki, “Photoemission Study of Chemical Bonding Features and Electronic States of Ultrathin HfLaxOy Film,” Int. Conf. of Atomic Control Sarface and Interface-9 (Tokyo, Japan, Nov. 11-15, 2007), p. 176.
    8. A. Uedono, K. Shiraishi, K. Yamabe, S. Inumiya, Y. Akasaka, S. Kamiyama, T. Matsuki, T. Aoyama, Y. Nara, S. Miyazaki, H. Watanabe, N. Umezawa, T. Chikyow, S. Ishibashi, T. Ohdaira, R. Suzuki, and K. Yamada, “Vacancy-Type Defects in MOSFETs with High-k Gate Dielectrics Probed by Monoenergetic Positron Beams,” 212th Electrochemical Society Meeting (Washington, D.C., U.S.A., Oct. 7-12, 2007), p. 1120, 2007.
    9. H. Yoshinaga, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki, M. Kadoshima, and Y. Nara, “Evaluation of Effective Work Function in Ru/HfSiON/SiO2 Gate Stack Structures - Thickness Dependence in Bottom SiO2 layer,” 5th Int. Symp. on Control of Semiconductor Interfaces (Hachioji, Tokyo, Japan, Nov. 12-14, 2007), pp. 181-182.
    10. R. Yougauchi, A. Ohta, Y. Munetaka, H. Murakami, S. Higashi, and S. Miyazaki, “The Impact of Post Deposition NH3-Anneal on La Oxide Films Formed by MOCVD Using La(DPM)3,” 5th Int. Symp. on Control of Semiconductor Interfaces (Hachioji, Tokyo, Japan, Nov. 12-14, 2007), pp. 227-228.
    11. H. Kaku, S. Higashi, T. Okada, T. Yorimoto, H. Murakami, and S. Miyazaki, “High Efficiency Activation of Phosphorus Atoms Induced by Thermal Plasma Jet Crystallization of Doped Amorphous Si Films,” Abst. of Mat. Res. Soc., p. 27, 2007.
    12. A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya, and Y. Nara, “Characterization of chemical bonding features and defect state density in HfSiOxNy/SiO2 gate stack,” Microelectronic Engineering, Vol. 84, pp. 2386-2389, 2007.

    1.4. Optical interconnection

    1. M. Suzuki, K. Nagata, Y. Tanushi, and S. Yokoyama, “Transient Response in Monolithic Mach-Zehnder Optical Modulator using (Ba,Sr)TiO3 Film Sputtered at Low Temperature on Silicon,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 2462-2466, 2007.
    2. Y. Tanushi and S. Yokoyama, “Compact Multi-Mode Optical Ring Resonators for Interconnection on Si Chips,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 2364-2368, 2007.
    3. 横山新, “LSIにおける光配線技術,” 応用物理 第76巻, 第11号, pp. 1238-1245, 2007.
    4. M. Suzuki, K. Nagata, and S. Yokoyama, “Imprint Property of Optical Mach-Zehnder Interferometer Using Sputter Deposited (Ba,Sr)TiO3 at Low Temperature,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 116-117.
    5. Y. Amemiya, Y. Tanushi, T. Tokunaga, and S. Yokoyama, “Photoelastic Effect in Silicon Ring Resonator,” Abst. Int. Conf. on Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 282-283.
    6. H. Taura, Y. Shishido, Y. Tanushi, and S. Yokoyama, “Magneto-optic Effect in Amorphous Bi3Fe5O12 Waveguide Sputtered at Room Temperature,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 284-285.
    7. M. Suzuki, K. Nagata, and S. Yokoyama, “Imprint Property of Optical Mach-Zehnder Interferometer Using Sputter-Deposited (Ba,Sr)TiO3 at 450oC,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 2897-2901, 2008.
    8. Y. Amemiya, Y. Tanushi, T. Tokunaga, and S. Yokoyama, “Photoelastic Effect in Silicon Ring Resonator,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 2910-2914, 2008.
    9. H. Taura, Y. Shishido, Y. Tanushi, and S. Yokoyama, “Magneto-Optic Effect in Amorphous Bi3Fe5O12 Waveguide Sputtered at Room Temperature,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 2915-2920, 2008.

    1.5. Low-k dielectrics

    1. T. Seo, T. Yoshino, Y. Cho, N. Hata, and T. Kikkawa, “Electrical Characteristics of Mesoporous Pure-Silica-Zeolite Film,” Jpn. J. Appl. Phys., Vol. 46, No. 9A, pp. 5742-5746, 2007.
    2. J. Kawahara, A. Nakano, N. Kunimi, K. Kinoshita, Y. Hayashi, A. Ishikawa, Y. Seino, T. Ogata, Y. Sonoda, T. Yoshino, T. Goto, S. Takada, H. Miyoshi, H. Matsuo, and T. Kikkawa, “Plasma-Enhanced Co-Polymerization of Organo-siloxane and Hydrocarbon for Low-k/Cu Interconnects,” Jpn. J. Appl. Phys., Vol. 46, No. 7A, pp. 4064-4069, 2007.
    3. T. Yoshino, N. Hata, J. Kawahara, Y. Shishida, and T. Kikkawa, “Plasma-Enhanced-Polymerization Thin-Film as a Drift Barrier for Cu Ions,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 1951-1954, 2007.
    4. T. Yoshino, M. Shimoyama, N. Hata, S. Chikaki, R. Yagi, Y. Shishida, J. Kawahara, and T. Kikkawa, “CoWP as a Drift Barrier for Cu Ions Studied by Electric Measurements,” J. Electrochem. Soc. Vol. 154, Issue 8, pp. H672-H674, 2007.
    5. A. Ishikawa, Y. Shishida, T. Yamanishi, N. Hata, T. Nakayama, N. Fujii, H. Tanaka, H. Matsuo, and T. Kikkawa, “Recovery Processes of CMP-Induced Damages for Copper/Porous Silica Damascene Interconnects,” J. Electrochem. Soc. Vol. 154, Issue 5, pp. H400-H405, 2007.
    6. K. Kurihara, T. Ono, K. Kohmura, H. Tanaka, N. Fujii, N. Hata, and T. Kikkawa, “Carbon loss induced by plasma beam irradiation in porous silica films,” J. Appl. Phys., Vol. 101, Issue 11, p. 113301, 2007.
    7. T. Yoshino, N. Hata, J. Kawahara, and T. Kikkawa, “Copper barrier properties of a low-dielectric-constant organocyclosiloxane prepared by plasma-enhanced polymerization,” Appl. Phys. Lett., Vol. 90, Issue 18, p. 182111, 2007.
    8. K. Kohmura, H. Tanaka, S. Oike, M. Murakami, N. Fujii, S. Takada, T. Ono, Y. Seino, and T. Kikkawa, “Novel organosiloxane vapor annealing process for improving properties of porous low-k films,” Thin Solid Films, Vol. 515, Issue 12, 23, p. 5019, 2007.
    9. Y. Cho, T. Seo, K. Kohmura, and T. Kikkawa, “Effects of vapor phase transport synthesis on the properties of porous silica films,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 382-383.
    10. T. Seo, T. Yoshino, N. Ohnuki, Y. Seino, N. Hata, and T. Kikkawa, “Effects of Silylation on Electrical and Mechanical Characteristics of Mesoporous Pure Silica Zeolite Films,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 928-929.

    1.6. Wireless interconnects

    1. S. Kubota, X. Xiao, K. Kimoto, and T. Kikkawa, “UWB Transmission Characteristics of Bow-tie Antennas on Si,” Proc. of 2007 IEEE Antennas and Propagation Society International Symposium (Honolulu, Hawaii, U.S.A., June 10-15, 2007), pp. 769-772.
    2. K. Kimoto, N. Sasaki, M. Nitta, and T. Kikkawa, “Inter-chip Transmission Characteristics of Si On-chip Integrated Antennas,” Proc. of 2007 IEEE Antennas and Propagation Society International Symposium (Honolulu, Hawaii, U.S.A., June 10-15, 2007), pp. 2514-2517.
    3. X. Xiao and T. Kikkawa, “Extraction of Calibration Waveform for Confocal Microwave Imaging for Early Breast Cancer Detection,” Proc. of 2007 IEEE Int. Symp. on Microwave, Antenna, Propagation, and EMC Technologies for Wireless Communications (Hangzhou, China, Aug. 14-17, 2007), pp. 1287-1290.
    4. X. Xiao, S. Kubota, and T. Kikkawa, “Tumor Detection in A Multilayer Breast Structure,” Proc. of 2007 Int. Symp. on Antennas and Propagation (Niigata, Japan, Aug. 20-24, 2007), pp. 438-441.
    5. S. Kubota, X. Xiao, K. Kimoto, and T. Kikkawa, “UWB Transmission Characteristics of Bow-tie Antennas on Si,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 498-499.
    6. X. Xiao and T. Kikkawa, “UWB Imaging for Early Breast Cancer Detection by Confocal Algorithm,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 976-977.
    7. K. Kimoto, N. Sasaki, and T. Kikkawa, “Scaling Characteristics of Si On-chip Integrated Antennas,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 1070-1071.
    8. X. Xiao, S. Kubota, and T. Kikkawa, “A method for Quasi 3-dimentional Imaging for Early Breast Cancer Detection by UWB,” Proc. of 2007 IEEE Int. Conf. on Ultra-Wideband (Singapore, Sep. 24-26, 2007), pp. 111-114.
    9. X. Xiao and T. Kikkawa, “Influences of the Organism Interface on the Breast Cancer Detection by UWB,” The 1st Int. Symp. on Surface and Interface of Biomaterials (Chengdu, China, Oct. 5-7, 2007), p. 110.
    10. N. Sasaki, M. Fukuda, K. Kimoto, and T. Kikkawa, “CMOS UWB Transmitter and Receiver with Silicon Integrated Antennas for Inter-chip Wireless Interconnection,” Proc. of the 2008 IEEE Radio and Wireless Symposium (Orland Florida, U.S.A., Jan. 22-24, 2008), pp. 795-798.
    11. X. Xiao and T. Kikkawa, “Study on the Breast Cancer Detection by UWB Microwave Imaging,” Proc. of 2008 Int. Conf. on Microwave and Millimeter Wave Technology (Nanjing, China, Apr. 21-24 2008), pp. 1707-1710.
    12. X. Xiao and T. Kikkawa, “Early Breast Cancer Detection by Ultra-wide Band Imaging with Dispersion Consideration,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 3209-3213, 2008.
    13. K. Kimoto and T. Kikkawa, “Wireless Interconnect on Si Chips using Integrated Antennas,” The 4th Nanotechnology Conf. on Communications and Cooperation (Tokyo, Japan, Apr. 14-17, 2008), P-50, 2008.

    1.7. CVD and contamination/particle control

    1. 山口哲司, 奥山喜久夫, “多分散シングルナノ粒子用光子相関装置の製作と性能評価,” 粉体工学会誌 44(1), pp. 19-27, 2007.
    2. 小山博巳, 井川誠司, 明星敏彦, 奥山喜久夫, “大容量微分型静電分級器(DMA)の開発と分級性能評価,” エアロゾル研究 22(1), pp. 48-53, 2007.
    3. H. M. Lee, K. Okuyama, A. Mizohata, T. O. Kim, and H. Koyama, “Fabrication of Reference Filter for Measurements of EC (Elemental Carbon) and OC (Organic Carbon) in Aerosol Particles,” Aerosol Sci. Tech. 41(3), pp. 284-294, 2007.
    4. I. W. Lenggoro, H. Widiyandari, C. J. Hogan Jr, P. Biswas, and K. Okuyama, “Colloidal Nanoparticle Analysis by Nanoelectrospray Size Spectrometry with a Heated Flow,” Anal. Chim. Acta 585, pp. 193-201, 2007.
    5. K. M. Yun, C. J. Hogan, Y. Matsubayashi, M. Kawabe, F. Iskandar, and K. Okuyama, “Nanoparticle Filtration by Electrospun Polymer Fibers,” Chem. Eng. Sci. 62(17), pp. 4751-4759, 2007.
    6. M. M. Munir, K. M. Yun, F. Iskandar, A. Yabuki, and K. Okuyama, “Heating Profile Effect on Morphology, Crystallinity and Photoluminescent Properties of Y2O3:Eu3+ Phosphor Nanofibers Prepared Using an Electrospinning Method,” Jpn. J. Appl. Phys., Vol. 46(10A), pp. 6705-6709, 2007.
    7. 山口哲司, 河原林成行, 奥山喜久夫, “多分散ナノ粒子測定用のラグ付フィボナッチ数列型指数サンプリング相関器の開発,” 粉体工学会誌 44(12), pp. 846-851, 2007.
    8. T. Yamaguchi, K. Okuyama, “Continuous Size Classification of Nanoparticles Utilizing Brownian Motion in Micro Channel Size Exclusion Chromatography,” Part. Part. Syst. Charact. 24(6), pp. 424-430, 2007.
    9. L. H. Moon, Y. K. Myoung, 奥山喜久夫, “エアロゾル中の元素状炭素(EC)/有機性炭素(OC)計測器評価用標準フィルタ,” エアロゾル研究 22(4), pp. 289-295, 2007.
    10. Y. Hayashi, M. Shimada, and K. Okuyama, “Influence of Synthesis Conditions on the Characteristics of Nanoparticles Produced in a Nonequilibrium Plasma Field,” J. Chem. Eng. Jpn. 41(2), pp. 62-68, 2008.
    11. 林豊, 近藤郁, 島田学, 奥山喜久夫, “PECVDプロセスにおける生成ダスト微粒子のための小型レーザ光散乱モニタリング装置の開発,” エアロゾル研究 23(1), pp. 36-42, 2008.
    12. 奥山喜久夫, “気相でのナノ粒子径測定-大気汚染調査から工業応用まで-,” 現代化学 422(1), pp. 42-44, 2008.

    2. Self-assembling techniques and quantum structures

    2.1. Silicon quantum dots and quantum electronics

    1. K. Makihara, K. Shimanoe, M. Ikeda, S. Higashi, and S. Miyazaki, “Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique,” The 6th Pacific Rim Int. Conf. on Advanced Materials and Processing (Jeju, Korea, Nov. 5-9, 2007), p. 73.
    2. K. Makihara, Y. Kawaguchi, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation of Ni Nanodots Induced by Remote Hydrogen Plasma,” The European Materials Research Society 2007 Spring Meeting (Strasbourg, France, May 28-Jun. 1, 2007), K-34.
    3. K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics,” 5th Int. Conf. on Silicon Epitaxy and Heterostructures (Marseille, May 20-25, 2007), pp. 313-314.
    4. K. Makihara, Y. Kawaguchi, M. Ikeda, S. Higashi, and S. Miyazaki, “Luminescence Study of Multiply-Stacked Structures Consisting of Impurity-Doped Si Quantum Dots and Ultrathin SiO2,” The 2007 Int. Meeting for Future of Electron Devices, Kansai (Osaka, Japan, Apr. 23-24, 2007), pp. 121-122.
    5. K. Makihara, M. Ikeda, S. Higashi, and S. Miyazaki, “Phosphorus Doping to Si Quantum Dots for Floating Gate Application,” 2007 Silicon Nanoelectronics Workshop (Kyoto, Japan, Jun. 10-11, 2007), pp. 161-162.
    6. K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi, and S. Miyazaki, “Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots / SiO2 Structure as Evaluated by AFM/KFM,” 2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (Chejung, Korea, Jun. 25-27, 2007), pp. 251-254.
    7. K. Makihara, M. Ikeda, A. Ohta, H. Murakami, R. Matsumoto, E. Ikenaga, M. Kobata, J. Kim, S. Higashi, and S. Miyazaki, “Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-Plasma Treatment and Their Electrical Charging Characteristics,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 1108-1109.
    8. K. Shimanoe, K. Makihara, A. Ohta, M. Ikeda, S. Higashi, and S. Miyazaki, “Formation of PtSi Nanodot Induced by Remote Hydrogen Plasma,” Abst. of The Int. Workshop on New Group IV Semiconductor Nanoelectronics SiGe(C) 2007 (Sendai, Japan, Nov. 8-9, 2007), pp. 37-38 P-09..
    9. M. Ikeda, R. Matsumoto, K. Shimanoe, T. Okada, K. Makihara, S. Higashi, and S. Miyazaki, “Charge Injection Characteristics of NiSi-Dots/Silicon-Quantum-Dots Stacked Floating Gate in MOS Capacitors,” Abst. of The Int. Workshop on New Group IV Semiconductor Nanoelectronics SiGe(C) 2007 (Sendai, Japan, Nov. 8-9, 2007), pp. 35-36 P-08..
    10. R. Matsumoto, M. Ikeda, S. Higashi, and S. Miyazaki, “Characterization of Multistep Electron Charging and Discharging of Silicon-Quatum-Dots Floating Gate by Applying Pulsed Gate Biases,” Ext. Abst. of the 2007 Int. Conf. on Solid State Devices and Materials (Tsukuba, Japan, Sep. 19-21, 2007), pp. 1112-1113 I-8-3..
    11. R. Matsumoto, M. Ikeda, S. Higashi, S. Miyazaki, “Formation of PtSi Nanodots Induced by Remote H2 Plasma,” 3rd Int. Workshop in New Group IV Semiconductor Nanoelectronics (Sendai, Japan, Nov. 8-9, 2007), pp. 37-38.
    12. R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique,” PRICM6:The 6th Pacific Rim Int. Conf. on Advanced Materials and Processing (Jeju Island, Korea, Nov. 5-9, 2007), S9-3.
    13. R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Impact of Boron Doping to Si Quantum Dots on Light Emission Properties,” 2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (Chejung, Korea, Jun. 25-27, 2007), pp. 135-138.
    14. R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi, and S. Miyazaki, “Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique,” Materials Science Forum, Vol. 561-565, pp. 1213-1216, 2007.
    15. S. Miyazaki, M. Ikeda, and K. Makihara, “Characterization of Electoronic Charged States of Si-Based Quantum Dots for Floating Gate Application,” Electrochemical Society Transactions, Vol. 11, No. 6, pp. 233-243, 2007.
    16. K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi, and S. Miyazaki, “Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-plasma Treatment and Their Electrical Charging Characteristics,” Jpn. J. Appl. Phys., Vol.47, No.4, pp. 3099-3102, 2008.
    17. R. Matsumoto, M. Ikeda, S. Higashi, and S. Miyazaki, “Characterization of Multistep Electron Charging and Discharging of a Silicon Quantum Dots Floating Gate by Applying Pulsed Gate Biases,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 3103-3106, 2008.

    2.2. Single electron transistors

    1. K. Ohkura, T. Kitade, and A. Nakajima, “Electrical Characteristics of Si Single-Electron Transistor Based on Multiple Islands,” Jpn. J. Appl. Phys., Vol. 46, No. 9B, pp. 6233-6236, 2007.
    2. T. Fujiaki, K. Ohkura, and A. Nakajima, “Si single-electron memory having in-plane dot with double gates,” the 2007 Int. Microprocesses and Nanotechnology Conference (Kyoto, Japan, Nov. 6-8, pp. 122-123, 2007.

    3. Technologies for intelligent systems

    1. M. A. Abedin, Y. Tanaka, A. Ahmadi, T. Koide, and H. J. Mattausch, “Mixed Digital-Analog Associative Memory Enabling Fully-Parallel Nearest Euclidean Distance Search,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 2231-2237, 2007.
    2. M. A. Abedin, Y. Tanaka, A. Ahmadi, S. Sakakibara, T. Koide, and H. J. Mattausch, “Realization of K-Nearest-Matches Search Capability in Fully-Parallel Associative Memories,” IEICE Trans. on Fundamentals, Vol. E90-A, pp. 1240-1243, 2007.
    3. T. Kumaki, M. Ishizaki, T. Koide, H. J. Mattausch, Y. Kuroda, H. Noda, K. Dosaka, K. Arimoto, and K. Saito, “Acceleration of DCT Processing with Massive-Parallel Memory-Embedded SIMD Matrix Processor,” IEICE Trans. on Information and Systems, Vol. E90-D, No. 8, pp. 1312-1315, 2007.
    4. K. Johguchi, H. J. Mattausch, T. Koide, and T. Hironaka, “4-port unified data/instruction cache design with distributed crossbar and interleaved cache-line words,” IEICE Trans. on Electronics, Vol. E90-C, No. 11, pp. 2157-2160, 2007.
    5. A. Ahmadi, H. J. Mattausch, M. A. Abedin, T. Koide, Y. Shirakawa, and M. A. Ritonga, “Developing a Reliable Learning Model for Cognitive Classification Tasks Using an Associative Memory,” Proc. of the 2007 IEEE Symp. on Computational Intelligence in Image and Signal Processing, pp. 214-219, 2007.
    6. T. Kumaki, T. Koide, H. J. Mattausch, Y. Kuroda, H. Noda, K. Dosaka, K. Arimoto, and K. Saito, “Efficient vertical/horizontal-space 1D-DCT processing based on massive-parallel matrix-processing engine,” Proc. of the 2007 IEEE Int. Symp. on Circuits and Systems, pp. 525-528, 2007.
    7. T. Kumaki, Y. Kono, M. Ishizaki, M. Tagami, T. Koide, H. J. Mattausch, T. Gyohten, H. Noda, Y. Kuroda, K. Dosaka, K. Arimoto, and K. Saito, “CAM enhanced super parallel SIMD processor with high-speed pattern matching capability,” Proc. of IEEE Int. MidWest Symp. on Circuits And Systems, pp. 803-806, 2007.
    8. K. Johguchi, Y. Mukuda, S. Izumi, H. J. Mattausch, and T. Koide, “A 0.6-Tbps, 16-port SRAM design with 2-stage-pipeline and multi-stage-sensing scheme,” Proc. of the 33rd European Solid-State Circuits Conference, pp. 320-323, 2007.
    9. S. Sakakibara, M. A. Abedin, Y. Tanaka, A. Ahmadi, H. J. Mattausch, and T. Koide, “Associative memory design realizing reference-pattern recognition and learning based on short/long-term storage concept,” Proc. of the 14th Workshop on Synthesis And System Integration of Mixed Information technologies, pp. 21-25, 2007.
    10. M. A. Abedin, A. Ahmadi, Y. Tanaka, S. Sakakibara, T. Koide, and H. J. Mattausch, “Hardware realization of two-stage pattern matching system using fully-parallel associative memories,” Proc. of the 14th Workshop on Synthesis And System Integration of Mixed Information technologies, pp. 32-37, 2007.
    11. Y. Tanaka, M. A. Abedin, S. Sakakibara, T. Koide, and H. J. Mattausch, “A fast differential-amplifier-based winner-search circuit for fully parallel associative memories,” Proc. of the 14th Workshop on Synthesis And System Integration of Mixed Information technologies, pp. 38-41, 2007.
    12. K. Okazaki, K. Awane, K. Yamaoka, T. Koide, and H. J. Mattausch, “Performance evaluation of region-growing image segmentation using two-dimensional image-block scanning,” Proc. of the 14th Workshop on Synthesis And System Integration of Mixed Information technologies, pp. 69-73, 2007.
    13. T. Kumaki, M. Ishizaki, T. Koide, H. J. Mattausch, Y. Kuroda, H. Noda, K. Dosaka, K. Arimoto, and K. Saito, “An effective parallel coding architecture utilizing characteristics of multimedia application,” Proc. of the 14th Workshop on Synthesis And System Integration of Mixed Information technologies, pp. 74-80, 2007.
    14. M. Tagami, M. Ishizaki, T. Kumaki, Y. Kono, T. Koide, H. J. Mattausch, T. Gyohten, H. Noda, K. Dosaka, K. Arimoto, and K. Saito, “Acceleration of Advanced Encryption Standard (AES) processing on a CAM enhanced super parallel SIMD processor,” Proc. of the 14th Workshop on Synthesis And System Integration of Mixed Information technologies, pp. 26-31, 2007.
    15. S. Izumi, K. Johguchi, H. J. Mattausch, and T. Koide, “Static-noise-margin analysis of major SRAM-cell types including production variations for a 90nm CMOS process,” Proc. of the 14th Workshop on Synthesis And System Integration of Mixed Information Technologies, pp. 261-265, 2007.
    16. Y. Masui, T. Yoshida, M. Sasaki, and A. Iwata, “A 0.6 V Supply Complementary Metal Oxide Semiconductor Amplifier Using Noise Reduction Technique of Autozeroing and Chopper Stabilization,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 2252-2256, 2007.
    17. T. Yoshida, N. Ishida, M. Sasaki, and A. Iwata, “Low-Voltage, Low-Phase-Noise Ring Voltage-Controlled Oscillator Using 1/f-Noise Reduction Techniques,” Jpn. J. Appl. Phys., Vol. 46, No. 4B, pp. 2257-2260, 2007.
    18. A. Iwata, T. Yoshida, and M. Sasaki, “Low-Voltage and Low-Noise CMOS Analog Circuits Using Scaled Devices,” IEICE Trans. Electron., Vol. E90-C, No. 6, pp. 1149-1155, 2007.
    19. H. Ando, S. Kameda, D. Arizono, N. Fuchigami, K. Kaya, M. Sasaki, and A. Iwata, “Principal Component Analysis-Based Object Detection/Recognition Chip for Wireless Interconnected Three-Dimensional Integration,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 2746-2748, 2008.
    20. A. Toya, Y. Murasaka, T. Ohmoto, and A. Iwata, “Evaluation of Digital Crosstalk Noise on Differential Input Voltage Controlled Oscillator,” Jpn. J. Appl. Phys., Vol. 47, No. 4, pp. 2742-2745, 2008.
    21. 岩田穆, “三次元集積のためのインダクタ対による無線インタコネクション,” 電子情報通信学会誌, Vol. 90, No. 10, pp. 864-870, 2007.

    4. Technologies for biosensing systems

    1. H. Fujii, K. Noda, Y. Asami, A. Kuroda, M. Sakata, A. Tokida, “Improvement of luminescence intensity of firefly luciferase using genetic modification,” Analytical Biochemistry, Vol. 366, pp. 131-136, 2007.
    2. K. Noda, T. Kogure, S. Irisa, Y. Murakami, M. Sakata, A. Kuroda, “Enhanced dibenzothiophene biodesulfurization in a microchannel reactor,” Biotechnology Letters, Vol. 30, pp. 451-454, 2008.
    3. 石川智弘, “無線機能集積化イムノセンサ,” 「MEMSマテリアルの最新技術」, シーエムシー出版, p. 286, 2007.